Post Go back to editing

AD9681 PN9 and PN23

Dear Sir/Madam,

Does anyone have PN9 or PN23 tables that they could share.

I am trying to validate hardware and the first few words of either would be of great value.

It is not clear if the PN9 sequence is a 14 bit register with the least significant two bits set to 0.

I am seeing the least significant two bits with data which could be wrong.

Regards Grant

  • Dear Sir/Madam,

    For those who are interested i have included the AD9681 PN-9 parallel equations and PN-9 sequence.

    signal pn9_cs                   : std_logic_vector(13 downto 0) := "11" & X"FE0";

    signal pn9_ns                   : std_logic_vector(13 downto 0);

    signal pn9_twos_complement      : std_logic_vector(13 downto 0);

    ..

    ..

      process (Clk)

      begin

        if rising_edge(Clk) then

       if Rst = '1' then

            pn9_cs <= "11" & X"FE0";

          else

            pn9_cs <= pn9_ns;

          end if; 

      end if;

      end process;

      -- Next state equations

      pn9_ns(0)  <= pn9_cs(1) xor pn9_cs(4) xor pn9_cs(5);

      pn9_ns(1)  <= pn9_cs(2) xor pn9_cs(5) xor pn9_cs(6);

      pn9_ns(2)  <= pn9_cs(3) xor pn9_cs(6) xor pn9_cs(7);

      pn9_ns(3)  <= pn9_cs(4) xor pn9_cs(7) xor pn9_cs(8);

      pn9_ns(4)  <= pn9_cs(0) xor pn9_cs(8);

      pn9_ns(5)  <= pn9_cs(0) xor pn9_cs(1) xor pn9_cs(5);

      pn9_ns(6)  <= pn9_cs(1) xor pn9_cs(2) xor pn9_cs(6);

      pn9_ns(7)  <= pn9_cs(2) xor pn9_cs(3) xor pn9_cs(7);

      pn9_ns(8)  <= pn9_cs(3) xor pn9_cs(4) xor pn9_cs(8);

      pn9_ns(9)  <= pn9_cs(0) xor pn9_cs(4);

      pn9_ns(10) <= pn9_cs(1) xor pn9_cs(5);

      pn9_ns(11) <= pn9_cs(2) xor pn9_cs(6);

      pn9_ns(12) <= pn9_cs(3) xor pn9_cs(7);

      pn9_ns(13) <= pn9_cs(4) xor pn9_cs(8);

       

      -- Offset binary to two complement

      pn9_twos_complement <= not pn9_cs(13) & pn9_cs(12 downto 0);

      pn9_parallel        <= pn9_twos_complement & "00";

    7F80

    77C4

    F320

    A538

    51E4

    7360

    291C

    9B54

    44C4

    9008

    9184

    B954

    06F4

    B720

    2158

    1FB0

    12DC

    64D4

    B300

    E328

    B4BC

    68B0

    F594

    1E3C

    3A0C

    DB74

    02D4

    7550

    8A54

    65DC

    839C

    C9E8

    6A24

    8CE0

    DED8

    E874

    61FC

    41EC

    62E4

    1048

    1DA0

    73E4

    3150

    0E34

    2B88

    E220

    8420

    4270

    2B0C

    FA6C

    1140

    2D3C

    5924

    EFC8

    EA64

    00C4

    1468

    DFD0

    D8E8

    4B3C

    9F74

    86B4

    3B04

    EBE8

    2814

    ABC8

    6E04

    4E90

    75D4

    9218

    F0BC

    ECD0

    BBC0

    Regards Grant

  • This question has been closed by the EZ team and is assumed answered.